產(chǎn)品圖片

產(chǎn)品分類

技術(shù)文章
主頁 > 技術(shù)文章 > 交通燈控制電路實(shí)驗
2024-06-04 06:44

交通燈控制電路實(shí)驗

一、      實(shí)驗?zāi)康?br />
1、      了解交通燈的燃滅規(guī)律。

2、      了解交通燈控制器的工作原理。

3、      熟悉VHDL語言編程,了解實(shí)際設(shè)計中的優(yōu)化方案。
FPGA實(shí)驗教學(xué)系統(tǒng)

二、      實(shí)驗原理

交通燈的顯示有很多方式,如十字路口、丁字路口等,而對于同一個路口又有很多不同的顯示要求,比如十字路口,車輛如果只要東西和南北方向通行就很簡單,而如果車子可以左右轉(zhuǎn)彎的通行就比較復(fù)雜,本實(shí)驗僅針對最簡單的南北和東西直行的情況。

要完成本實(shí)驗,首先必須了解交通路燈的燃滅規(guī)律。本實(shí)驗需要用到實(shí)驗箱上交通燈模塊中的發(fā)光二極管,即紅、黃、綠各三個。依人們的交通常規(guī),“紅燈停,綠燈行,黃燈提醒”。其交通燈的燃滅規(guī)律為:初始態(tài)是兩個路口的紅燈全亮,之后,東西路口的綠燈亮,南北路口的紅燈亮,東西方向通車,延時一段時間后,東西路口綠燈滅,黃燈開始閃爍。閃爍若干次后,東西路口紅燈亮,而同時南北路口的綠燈亮,南北方向開始通車,延時一段時間后,南北路口的綠燈滅,黃燈開始閃爍。閃爍若干次后,再切換到東西路口方向,重復(fù)上述過程。

在實(shí)驗中使用8個七段碼管中的任意兩個數(shù)碼管顯示時間。東西路和南北路的通車時間均設(shè)定為20s。數(shù)碼管的時間總是顯示為19、18、17……2、1、0、19、18……。在顯示時間小于3秒的時候,通車方向的黃燈閃爍。

三、      實(shí)驗內(nèi)容

本實(shí)驗要完成任務(wù)就是設(shè)計一個簡單的交通燈控制器,交通燈顯示用實(shí)驗箱的交通燈模塊和七段碼管中的任意兩個來顯示。系統(tǒng)時鐘選擇時鐘模塊的1464Hz時鐘,黃燈閃爍時鐘要求為2Hz,七段碼管的時間顯示為1Hz脈沖,即每1s中遞減一次,在顯示時間小于3秒的時候,通車方向的黃燈以2Hz的頻率閃爍。系統(tǒng)中用核心板的RST按鍵進(jìn)行復(fù)位。

四、      實(shí)驗步驟

1、    打開QUARTUSII軟件,新建一個工程。

2、    建完工程之后,再新建一個VHDL File,打開VHDL編輯器對話框。

3、    按照實(shí)驗原理和自己的想法,在VHDL編輯窗口編寫VHDL程序,用戶可參照光盤中提供的示例程序。

4、    編寫完VHDL程序后,保存起來。方法同實(shí)驗一。

5、    對自己編寫的VHDL程序進(jìn)行編譯并仿真,對程序的錯誤進(jìn)行修改。直到完全通過。

6、    編譯仿真無誤后,根據(jù)用戶自己的要求進(jìn)行管腳分配。分配完成后,再進(jìn)行全編譯一次,以使管腳分配生效。

7、    根據(jù)實(shí)驗內(nèi)容用實(shí)驗導(dǎo)線將上面管腳分配的FPGA管腳與對應(yīng)的模塊連接起來。

8、    用下載電纜通過JTAG口將對應(yīng)的sof文件加載到FPGA中。觀察實(shí)驗結(jié)果是否與自己的編程思想一致。
FPGA實(shí)驗教學(xué)系統(tǒng)

五、   實(shí)驗結(jié)果與現(xiàn)象

以設(shè)計的參考示例為例,當(dāng)設(shè)計文件加載到目標(biāo)器件后。交通燈模塊的紅、綠、黃LED發(fā)光管會模擬實(shí)際中的交通信號燈的變化。此時,數(shù)碼管上顯示通行的時間的到計時。當(dāng)?shù)接嫊r到5秒時,黃燈開始閃爍。到0秒時紅綠燈開始轉(zhuǎn)換,倒計時的時間恢復(fù)至20秒。按下按鍵開關(guān)K1則從頭開始顯示和計數(shù)。

六、   實(shí)驗報告

1、    繪出仿真波形,并作說明。

2、    試編寫能手動控制交通燈通行時間的交通燈控制器。

3、    將實(shí)驗原理、設(shè)計過程、編譯仿真波形和分析結(jié)果、硬件測試結(jié)果記錄下來。

相關(guān)產(chǎn)品:



 

FPGA實(shí)驗教學(xué)系統(tǒng)