產(chǎn)品圖片

產(chǎn)品分類

技術(shù)文章
主頁 > 技術(shù)文章 > VGA彩條信號(hào)發(fā)生器的設(shè)計(jì)實(shí)驗(yàn)
2024-06-09 06:56

VGA彩條信號(hào)發(fā)生器的設(shè)計(jì)實(shí)驗(yàn)

VGA彩條信號(hào)發(fā)生器的設(shè)計(jì)

一、  實(shí)驗(yàn)?zāi)康?/strong>
1. 了解普通顯示器正確顯示的時(shí)序。
2. 了解VHDL產(chǎn)生VGA顯示時(shí)序的方法。
3. 進(jìn)一步加強(qiáng)對(duì)FPGA的認(rèn)識(shí)。
二、  實(shí)驗(yàn)原理
 盡管顯示器的新品層出不窮,但CRT(Cathode Ray Tube,陰極射線管)的基本工作原理一直沿用了幾十年,直到今天也沒有太大的變化。顯示器是一種復(fù)雜的設(shè)備,其擴(kuò)展性和可靠性也十分驚人,在這一方面,電子控制起了很大的作用,任何機(jī)械都會(huì)有磨損,唯有用電子才能延長(zhǎng)壽命,甚至能適應(yīng)數(shù)千小時(shí)的工作。電子槍是顯示像管的核心,安發(fā)出的電子束擊中光敏材料(熒光屏),刺激熒光粉就能產(chǎn)生圖像。實(shí)際上,電子槍和大體積的、功率強(qiáng)勁的二極管沒有什么區(qū)別,其原理也適用于電視機(jī)和示波器。
CRT分為幾個(gè)部分:Deflection  Coil(偏轉(zhuǎn)線圈)用于電子槍發(fā)射器的定位,它能夠產(chǎn)生一個(gè)強(qiáng)磁場(chǎng),通過改變強(qiáng)度來移動(dòng)電子槍。線圈偏轉(zhuǎn)的角度有限,當(dāng)電子束傳播到一個(gè)平坦的表面時(shí),能量會(huì)輕微的偏移目標(biāo),僅有部分熒光粉被擊中,四邊的圖像會(huì)產(chǎn)生彎曲現(xiàn)象。為了解決這個(gè)問題,顯示器生產(chǎn)廠把顯像管做成球形,讓熒光粉充分地接受能量,缺點(diǎn)是屏幕將變得彎曲,電子束射擊由左至右,由上至下的過程稱為刷新,不斷重復(fù)的刷新能保持圖像的持續(xù)性。
顯示器屏幕的色彩是由RGB(紅、綠、藍(lán))三色光所合成的,我們可通過調(diào)整這三個(gè)基色調(diào)出其它的顏色,在許多圖像處理軟件里都有提供色彩調(diào)配功能,你可輸入三基色的數(shù)值來調(diào)配顏色,也可直接根據(jù)軟件提供的調(diào)色板來選擇顏色。在這一部分的功能上實(shí)驗(yàn)系統(tǒng)采用專用的編解碼芯片來完成。其具體實(shí)現(xiàn)、原理我們將在以后的實(shí)驗(yàn)中做詳細(xì)的說明。在本實(shí)驗(yàn)中只用到了RGB三基色來組成八種顏色構(gòu)成彩條信號(hào)。
VGA顯示器在顯示過程中主要由五個(gè)信號(hào)來控制,分別是R、G、B、HS和VS。其中R、G、B分別用來驅(qū)動(dòng)顯示器三個(gè)基色的顯示,即紅、綠和籃,HS是行同步信號(hào),VS是場(chǎng)同步信號(hào)。在做本實(shí)驗(yàn)時(shí),由于沒有任何顯示器驅(qū)動(dòng),所以顯示器工作在默認(rèn)狀態(tài),分辨率:640×480,刷新率:60Hz。在此狀態(tài)下,當(dāng)VS和HS都為低電平時(shí),VGA顯示器顯示亮的狀態(tài),其正向掃描過程約為26us。當(dāng)一行掃描結(jié)束后,行同步信號(hào)HS置高電平,持續(xù)約6us后,變成低電平,在HS為高電平期間,顯示器產(chǎn)生消隱信號(hào),這就是顯示器回掃的過程。當(dāng)掃描完一場(chǎng)后,也就是掃描完480行以后,場(chǎng)同步信號(hào)VS置高電平,產(chǎn)生場(chǎng)同步,此同步信號(hào)可以使掃描線回到顯示器的第一行第一列位置。顯示器顯示的時(shí)序圖如下圖4-18-1所示:
CRT顯示器時(shí)序                         
圖4-18-1  CRT顯示器時(shí)序
上圖中T1為同步消隱信號(hào),約為6us脈寬,T2為行顯示過程,約為26us,T3為行同步信號(hào),寬度為兩個(gè)行同步周期,T4為顯示時(shí)間,約為480行周期。
三、  實(shí)驗(yàn)內(nèi)容
      本實(shí)驗(yàn)要完成的任務(wù)就是通過FPGA在顯示器上顯示一些條紋或圖案,要求CRT顯示器上能夠顯示橫條紋、豎條紋以及棋盤格子圖案。實(shí)驗(yàn)中系統(tǒng)時(shí)鐘選擇時(shí)鐘模塊的12MHz,用一個(gè)按鍵模塊的BT1來控制顯示模式,每按下一次,屏幕上的圖案改變一次,依次為橫條紋、豎條紋以及棋盤格子圖案。實(shí)驗(yàn)的輸出就直接輸出到VGA接口,通過CRT顯示器顯示出來。    
四、  實(shí)驗(yàn)步驟
1、打開QUARTUSII軟件,新建一個(gè)工程。
2、建完工程之后,再新建一個(gè)VHDL File,打開VHDL編輯器對(duì)話框。
3、按照實(shí)驗(yàn)原理和自己的想法,在VHDL編輯窗口編寫VHDL程序,用戶可參照光盤中提供的示例程序。
4、編寫完VHDL程序后,保存起來。方法同實(shí)驗(yàn)一。
5、對(duì)自己編寫的VHDL程序進(jìn)行編譯并仿真,對(duì)程序的錯(cuò)誤進(jìn)行修改。
6、編譯仿真無誤后,根據(jù)用戶自己的要求進(jìn)行管腳分配。分配完成后,再進(jìn)行全編譯一次,以使管腳分配生效。
7、根據(jù)實(shí)驗(yàn)內(nèi)容用實(shí)驗(yàn)導(dǎo)線將上面管腳分配的FPGA管腳與對(duì)應(yīng)的模塊連接起來。
如果是調(diào)用的本書提供的VHDL代碼,則實(shí)驗(yàn)連線如下:
CLK:FPGA時(shí)鐘,接數(shù)字時(shí)鐘CLOCK1,并將其設(shè)為12MHZ。
KEY:顯示模式選擇信號(hào),接一個(gè)按鍵開關(guān)。
R、G、B、HS、VS: VGA控制信號(hào),接VGA模塊的R、G、B、HS、VS端口。
8、用下載電纜通過JTAG口將對(duì)應(yīng)的sof文件加載到FPGA中。觀察實(shí)驗(yàn)結(jié)果是否與自己的編程思想一致。
五、  實(shí)驗(yàn)結(jié)果與現(xiàn)象
以設(shè)計(jì)的參考示例為例,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,將顯示器與實(shí)驗(yàn)系統(tǒng)視頻輸入輸出模塊的VGA接口連接起來,數(shù)字信號(hào)源的時(shí)鐘選擇為12MHZ。此時(shí)連接的VGA顯示屏上將會(huì)出現(xiàn)縱向的彩條信號(hào)。按下核心板上的復(fù)位按鍵RST鍵將會(huì)改變?yōu)闄M彩條和方格彩條。
六、  實(shí)驗(yàn)報(bào)告
1、繪出仿真波形,并作說明。
2、將實(shí)驗(yàn)原理、設(shè)計(jì)過程、編譯仿真波形和分析結(jié)果、硬件測(cè)試結(jié)果記錄下來。
3、試編寫其它圖形的VGA顯示的程序。